Главная
Новости рынка
Рубрикатор



Архив новостей -->



Безопасно купить ботов инстаграм вам помогут менеджеры ави1.ру

 



   

Д. Бадашин, А. Савчук

Сверхбольшие специализированные ИС в оборудовании цифровых систем передачи

    В практике модернизации и создания новых поколений оборудования связи его важнейшие характеристики полностью определяют специализированные сверхбольшие интегральные схемы (ССБИС) [1-3]. В этих условиях разработчику-схемотехнику неизбежно приходится принимать решения относительно технологического обеспечения проекта на самых первых этапах разработки — это означает, что технология в значительной степени будет определять схемотехнику. Опыт разработки аппаратуры связи последних лет приводит к выводу о том, что проект, ориентированный на конечный результат (в виде конкурентоспособного оборудования), требует изменения ролей технологов и схемотехников — первичным оказывается не исходная НИР, не замысел, а ограничения и возможности доступной технологии. Нет смысла предлагать технические решения, которые невозможно реализовать на доступной технологии.

Обзор доступных технологий специализированных СБИС

    Заказные (сверх) большие интегральные схемы (С)БИС (Custom Very Large Integrated Circuits (VLSI)). Заказной СБИС называют однокристальное устройство, которое конструируют полностью “с пустого места” — без предварительной подготовки базовых технологических слоёв, специально сконструированных элементов и функциональных макроблоков. Основное отличие от других технологий проектирования: необходимо проектировать единые маски для всех технологических слоёв.

    Затраты на разработку и изготовление оправданы только при относительно больших объёмах производства — не менее 150–750 тыс. шт. в год. Стоимость разработки — до $100000 в течение 8–10 месяцев. (Следует отличать заказные СБИС от стандартных (например, ОЗУ и мощные цифровые процессоры), рынок которых практически неограничен (более 5 млн. шт. в год) и стоимость разработки всегда окупается).

    Специализированные интегральные схемы конкретного применения (Application Specific Integrated Circuits (ASIC)). Этот термин охватывает, по меньшей мере, две приведённые ниже технологии проектирования.

    Полузаказные матричные большие интегральные схемы (МБИС) на основе БМК — базовых матричных кристаллов (Standart Cells and Gate Arrays). Логика развития технологии МБИС делает их экономически оправданными только в сочетании с системами автоматизированного проектирования (САПР) и при условии, что полный цикл проектирования можно провести на столе разработчика без итераций физического моделирования. Для этого САПР должна содержать систему моделирования высокой адекватности, с помощью которой можно было бы решить все проблемы работоспособности готового изделия до того, как результат проектирования будет передан на технологическую линию. Амортизация фиксированных затрат на проектирование обеспечена при объёме производства от 1000 до 30000 корпусов в год.

    Программируемые ССБИС отличаются от МБИС тем, что конечный результат достигается с помощью программатора на столе разработчика: технологическая линия из цикла проектирования исключена. Предприятия электронной промышленности поставляют “заготовки” (или “полуфабрикаты”) ССБИС с мощными САПР высокой адекватности и средствами электрического или логического программирования (и, возможно, перепрограммирования). Роль технологий проектирования “на столе разработчика” резко увеличивается, если поставщик предоставляет возможность прямой трансляции проекта на БМК, чтобы изготовить МБИС без дополнительных усилий разработчиков. Смысл такой услуги в том, что решение о за-тратах на проектирование программируемой ССБИС и о целесообразности самого проекта принимает заинтересованная сторона. В этих условиях проблемы экономической эффективности проекта решают наиболее компетентные лица. Стоимость же изготовления одного корпуса МБИС в серийном производстве значительно меньше, чем стоимость одного корпуса “заготовки”, причём производственные затраты на проектирование исключены.

    Далее будем говорить о трёх доступных па отечественном рынке технологиях программируемых ССБИС: FPGA компании ACTEL [4,5]; FPGA и сложные ПЛИС компании XILINX; FPGA и сложные ПЛИС компании ALTERA. Динамику развития технологии ACTEL можно проследить, рассмотрев три поколения FPGA:

  1. АСТ1 — КМОП-кристаллы с двумя слоями металлизированных 1-микронных трасс, которыми можно соединять между собой различные типы логических модулей с помощью плавких перемычек PLICE.
  2. АСТ2 — усовершенствованные кристаллы с двумя классами логиче-ских модулей: С-модули, которые оптимизированы для комбинационных функций; S-модули, оптимизированные для создания на их основе последовательностных схем: они содержат триггер с развитой входной логикой
  3. АС3 — кристаллы с двумя слоями поликремния и двумя слоями металлизированных 0,8-микронных трасс.

    Все три семейства ACTEL совместимы как по параметрам готовых изделий, так и по условиям проектирования. В частности, архитектура АСТ2 и АСТЗ основана на С-модулях (которые аналогичны модулям АСТ1) и S-модулях, которые допускают возможность разработки широкого класса тактируемых триггерных схем. От технологии ACTEL резко отличаются сложные ПЛИС компаний XILINX и ALTERA и более совершенные программируемые вентильные матрицы XILINX, ALTERA, а также FPGA компании INTEL, которые выполнены на основе SRAM [6,7]. В программируемых пользователем вентильных матрицах (FPGA) XILINX внешние контакты, доступные пользователю, могут быть подключены к быстродействующей универсальной матрице межсоединений через блоки ввода, вывода, которые, как правило, содержат логику и элементы памяти. Матрица представляет собой полнодоступный коммутатор и обеспечивает прохождение сигналов между любыми функциональными блоками или блоками ввода, вывода (функциональные блоки содержат перестраиваемые макроячейки библиотечных элементов и, по существу, представляют собой отдельные ПЛИС. Их размещают равномерно по поверхности кристалла между трассами матрицы межсоединений, а блоки ввода/вывода — по периферии кристалла). Кроме внешних контактов, доступных пользователю, имеются “служебные” контакты. Пользователю доступны матрицы конфигурируемых логических блоков (CLB). Вместе с ресурсами трассировки они представляют собой гибкую программируемую архитектуру, которую конфигурируют данными, загружаемыми во внутреннюю память. Таким образом, FPGA можно активизировать данными от внешних последовательных или байт-параллельных ППЗУ (ведущий режим) или от внешних устройств (ведомый режим).

    FPGA на основе SRAM можно реконфигурировать непосредственно в составе оборудования (другими технологиями предоставить проектировщику такую степень свободы невозможно). Отдельная область применения ПЛИС — проектирование на их основе устройств для защиты программного обеспечения и аппаратуры от несанкционированного доступа и копирования. ПЛИС обладают такой технологической особенностью, как “бит секретности”, после программирования которого схема становится недоступной для чтения (хотя свои функции ПЛИС, естественно, продолжает выполнять). Обычно применение одной-двух ПЛИС средней степени интеграции оказывается вполне до-статочным для надёжной защиты информации.

    Основой технологии проектирования FPGA является сверхнадёжная плавкая перемычка ПЛИС, которая обеспечивает характерные особенности технологии:

  • гибкость проектирования, которую можно достичь лишь в заказных ССБИС;
  • надёжность готового изделия, сравнимая с надёжностью МБИС.

    Самое общее представление о рассматриваемых технологиях можно получить из таблицы.

Таблица

Тип FPGA Размерность кристалла Время загрузки конфигурирующей программы, мкс/столбец CLB Вид памяти
XILINX, сер.ХС3000 3000 условных вентилей в матрице от (10х10) CLB 30-200 CMOS (ROM, EPROM, EEPROM)
XILINX, сер. ХС4000 3000-62000 усл.вент. в матрице от (10х10) до (48х48) CLB - CMOS SRAM
XILINX, сер. ХС5ХХХ 2000-23000 усл.вент. в матрице от (8х8) до (22х22) CLB 6-70 CMOS SRAM
XILINX, сер. ХС6ХХХ 9000-100000 усл.вент. в матрице от (8х8) до (22х22) CLB - SRAM
XILINX, сер. ХС9ХХХ 2500-16000 усл.вент. в матрице от (8х8) до (22х22) CLB - CMOS Fast FLASH
ALTERA, сер. PLEX8000 2500-16000 усл.вент. - -
ALTERA, сер. FLASH Logic 40-160 макроячеек - -
INTEL, сер. FLEX Logic FX780 80 макроячеек, организованных в 8 CLB - -

Принцип предварительного сравнения характеристик технологии проектирования

    На начальном этапе проектирования разработчик нуждается в объективной сравнительной оценке программируемых ССБИС, которая сама по себе представляет отдельную нетривиальную задачу. Для того, чтобы дать классификацию широкого класса технологий и представить критерии такой оценки, корпорация PREP (Programmable Electronic Performance Corporation) разработала специальные методики. Предложенные критерии призваны дать обобщённые характеристики, усреднённые по типовым схемотехническим решениям, которые позволяют исключить человеческий (творческий) фактор. Это необходимо для того, чтобы обеспечить как можно большую объективность. По существу, проектирование — это поиск компромиссов между разнонаправленными требованиями, поэтому критерии PREP — это, в основном, двумерные параметры, характеризующие обменные соотношения:

  • обобщённое быстродействие как функция обобщённой стоимости;
  • обобщённое быстродействие как функция размерности;
  • предсказуемость характеристик.

    (Желание получить максимально объективные критерии привело к тому, что в перечисленные параметры пришлось вложить сложные понятия. Рассмотреть их здесь подробно не представляется возможным.)

    Последний из перечисленных параметров — предсказуемость характеристик — имеет значение в условиях критически сжатых сроков проектирования, когда необходимо быстро выйти на рынок с окончательно отработанными техническими решениями. Этот параметр определяют как разброс характеристик готового изделия после автоматической трассировки.

    Предполагается, что строительные блоки выполняются по каждой из технологий при 100-% автоматической трассировке. С учётом этого оценивают работоспособность каждого блока и соответствие его характеристик во всех режимах при гарантированном быстродействии. Разброс полученных характеристик между самыми благоприятными и самыми неблаго-приятными усредняют по всем строительным блокам, и это усреднённое значение принимают в качестве меры предсказуемости характеристик. (Под разбросом понимают стандартное отклонение, усреднённое по характеристикам всех блоков. Чем меньше разброс характеристик, тем больше вероятность получить готовое изделие без итераций, то есть в кратчайшие сроки, с относительной независимостью от уровня подготовки разработчика).

    Результаты сравнения трёх технологий по критерию предсказуемости характеристик (в %) выглядят следующим образом:

  • ACTEL — 8 – 12;
  • X1LINX — 25 – 29;
  • ALTERA — 16.

    Можно сделать следующие выводы.

  1. Наибольшую гибкость проектирования при наибольшей предсказуемости характеристик обеспечивают FPGA ACTEL.
  2. Предсказуемость характеристик технологии ACTEL в области умеренных требований в 3–4 раза лучше, чем у XILINX, и в области высоких требований в 2 раза лучше, чем у других технологий.

    Грубо говоря, число итераций при проектировании на ACTEL в 2 раза меньше, чем при проектировании на ALTERA или XILINX. Это достоинство объясняется тем, что при большей зернистости структуры матрицы обеспечивается достаточно эффективная трассировка: можно быть уверенным в том, что даже при выборе в качестве строительного блока двухвходового вентиля может быть гарантирована трассировка схемы при 95-% заполнении кристалла. Такой характеристикой не обладают другие разновидности FPGA.

    В естественном состязании технологий проектирования ALTERA, XILINX, INTEL и ACTEL последняя удерживает лидирующие позиции по степени надёжности и по удельной стоимости условного вентиля при эквивалентных характеристиках изделия (числе до-ступных эквивалентных вентилей, необходимости регулярного обновления конфигурирующих данных).

Задачи проектирования с использованием современных технологий

    При разработке современной техники связи необходимо учитывать следующие факторы жизнеспособности как технических решений, так и самих изделий:

  • функциональная и вычислительная сложность устройств и систем не может быть обеспечена, если реализовать устройства на интегральных микросхемах (ИМС) общего назначения, общецелевых микропроцессорах и даже на цифровых сигнальных процессорах (ЦСП);
  • современная технология позволяет проектировать и изготавливать относительно небольшие экономически оправданные партии специализированных сверхбольших интегральных схем (СБИС), с помощью которых реализуются не только стандартные, но и специфические функции аппаратуры;
  • нет смысла проектировать новые изделия без резкого сокращения сроков их освоения в производстве при сохранении всех преимуществ интегрального исполнения.

    В этих условиях разработчику-схемотехнику неизбежно придётся принимать несвойственные ему решения относительно технологического обеспечения проекта на самых первых этапах разработки и — более того — технология в значительной степени будет определять схемотехнику. Указанные факторы приводят к следующему выводу: проект, ориентированный на конечный результат (в виде конкурентоспособного оборудования) требует изменения ролей технологов и схемотехников — первичным оказывается не исходная НИР, не замысел, а ограничения и возможно-сти доступной технологии. Такое перераспределение ролей оказалось возможным благодаря тому, что ASIC нельзя разрабатывать без средств автоматизированного проектирования (САПР) СБИС. Следовательно, руководитель проекта должен отдавать себе отчёт в том, что для достижения конечного результата в его распоряжении должна быть группа (возможно, элитная) специалистов, которая способна увязать схемотехнические задачи (цели разработки) с доступной технологией (средствами достижения целей) и, естественно, владеет средствами САПР. Другими словами, специалист этой группы должен иметь подготовку высокого уровня в трёх областях:

  • прикладной (современная техника систем передачи, управление сетью связи);
  • схемотехнической (современная электроника, технология ССБИС и схемотехника);
  • инструментальной (современная вычислительная техника, навыки работы на средствах САПР).

    В конце 1980-х и в 1990-х годах специалистами УНИИС и при их непосредственном участии на всех этапах проектирования и изготовления были разработаны ССБИС для техники связи. Ниже приведены результаты проектов, которые были реализованы в действующей аппаратуре или испытаны и использованы в опытных образцах. Эти проекты были выполнены как на отечественной технологии — на БМК 1545ХМ1 и 1515ХМ1 (изготовители НПО «Микропроцессор» в Киеве и НПО «Ангстрем» в Зеленограде), так и на технологии программируемых ССБИС ACTEL.

ССБИС для передачи данных

    В 1991–1992 гг. спроектированы, изготовлены и испытаны в аппаратуре передачи данных:

  • КР1545ХМ1-046 — цифровая часть модема V.21/V.23;
  • КР1545ХМ1-054 — цифровая часть синхронного модема ЧМ для канала ТЧ.

    Потребности заводов, выпускающих эту аппаратуру, были удовлетворены поставками объединения «Микропроцеccop».

ССБИС для декодирования сверточных кодов [8-11]

    В 1992 году разработан 1515ХМ1-VA4 — однокристальный самосинхронизирующийся кодек Витерби для кода [1111,1101]. Опытная партия этих ССБИС была изготовлена в НПО «Ангстрем» и испытана в Киеве.

    В 1996 году завершён проект 4-кристального кодека Витерби для VSAT с параметрами, которые удовлетворяют международным стандартам для спутниковых каналов связи. Структурная схема кодека содержит 3 ССБИС, выполненных на FPGA A1240 и А1280 и внешнем стандартном ОЗУ. Готовится однокристальная версия этого проекта на усовершенствованной технологии А42МХ.

ССБИС для цифровых систем передачи плезиохронной цифровой иерархии

    В 1994 г. спроектирована ССБИС КР1515ХМ1-028 — мульдекс вторичного группообразования ИКМ по рекомендации G.742 для аппаратуры сельской связи, которая до настоящего времени эксплуатируется в Одесской области. Технические решения, заложенные в КР1515ХМ1-028, были воплощены в FPGA ACTEL A1240XL-M28 и использованы в первой отечественной 120-канальной аппаратуре ОВГ-21Е, которая удовлетворяет международным стандартам и ГСТУ 45.007-97 (успешно проведена опытная эксплуатация).

    К концу 1997 года разработан комплект ССБИС для оборудования ЦСП плезиохронной цифровой иерархии:

  • A1240XL-E1I — 4-канальный стык Е1 в соответствии с рекомендациями G.703, G.704, G.775;
  • А1010А-Е21 — стык Е2 в соответствии с рекомендациями G.703, G.775;
  • А1010А-ЕЗО — стык ЕЗ для волоконно-оптической системы передачи в соответствии с рекомендациями G.703, G.775;
  • ХС3142-РС84-ЕЗО — то же на FPGA XILINX серии 3100;
  • ХС3142-РС84-ТК — транскодек CMI-HDB3 для сопряжения оптического стыка с электрическим стыком ЕЗ.

Мифы современной технологии проектирования ASIC

    Миф первый: САПР позволяют исключить человеческий фактор из научно-исследовательской разработки на этапе “идея – реализация”.

    Здесь имеет место путаница в терминологии: САПР — это система автоматизированного, но они отнюдь не автоматического проектирования: речь идёт не о синтезе системы по заданным исходным данным, а об анализе ошибок разработчика в процессе решения поставленной задачи, когда разработчик “синтезирует” систему по пути, который он сам не в состоянии объяснить. САПР — лишь средство, которое в состоянии минимизировать время разработки по единственному из возможных методов НИР — методу проб и ошибок.

    Поэтому приобретение САПР следует рассматривать как расширение возможностей имеющихся в вашем распоряжении разработчиков, но ни в коем случае не исключение элемента творчества и замену его на некую “методологию проектирования”: “Методология — последнее прибежище непродуктивного ума”.

    Миф второй: САПР в сочетании с современной технологией позволяют решить проблему сложности.

    На самом деле проблема сложности реализации лишь переносится на более высокий уровень. При традиционных методах проектирования и реализации системы разработчику приходится обрабатывать определённое количество информации в течение нескольких месяцев; если же в его распоряжении имеется САПР, то то же самое количество информации он перерабатывает в течение нескольких дней. Так как человеческий мозг способен переработать лишь ограниченное количество информации в единицу времени, то приобретение САПР означает лишь то, что вы экономите время за счёт повышения эффективности использования интеллекта имеющихся в вашем распоряжении разработчиков.

    Миф третий: Средства на приобретение САПР вкладываются однажды, а эффект от её использования повторяется бесконечно без дополнительных затрат.

    На самом деле САПР — это живой механизм, который требует обслуживания, обновления и регулировки. Можно указать основные причины непрерывного совершенствования пакета:

  • доработки по требованию пользователей, которые сталкиваются с разнообразными ситуациями, когда программа работает плохо или не работает вовсе;
  • доработки, которые создатели пакета вносят по собственной инициативе для повышения эффективности использования памяти или быстродействия счёта, а также для того, чтобы повысить потребительскую стоимость САПР путём создания процедур, обеспечивающих интерфейс с другими перспективными средствами проектирования;
  • доработки, связанные с изменениями в технологии БМК, на которые настроен пакет.

    В так называемых “открытых” САПР эти изменения может вносить и пользователь. Современные и перспективные профессиональные пакеты прикладных программ, как правило, “закрыты”, поскольку ответственность за их адекватность в меняющихся условиях лежит на их создателях; важную роль играет и право на интеллектуальную собственность. Поэтому договора на поставку САПР содержат условия по его сопровождению в процессе эксплуатации: взаимодействие пользователя и поставщика представляется естественным.

    Миф четвертый: Труд разработчика МБИС полностью автоматизирован и сводится к управлению соответствующими программами. Следовательно, чем более дорога и совершенна САПР, тем эффективнее используется его интеллект.

    Исследования показывают, что в действительности значительную часть рабочего времени проектировщик занимается администрированием, обсуждением технических вопросов и оформлением документации. Что же касается его взаимодействия с САПР, то соответствующие операции можно разделить на три класса:

  • автоматические (решение хорошо формализованных задач без участия человека);
  • интерактивные (взаимодействие с экраном и ввод исходных данных);
  • ручные (которые выполняются без САПР — решение плохо формализуемых задач и задач творческого характера, а также анализ распечаток и т. п.).

    Доля ручных и интерактивных операций составляет 20...25% рабочего времени, а это означает, что повышение производительности традиционных САПР выше некоторого предела даёт относительно небольшую экономию инженерного труда. Поэтому возможности САПР, затраты на их приобретение и характер решаемых задач должны быть хорошо сбалансированы.

    Миф пятый: Наиболее эффективной из рассмотренных технологий проектирования специализированных СБИС в обозримом будущем являются FPGA (см. предыдущие разделы).

    На самом деле достоинства XILINX и ACTEL теряют свою привлекательность, если конечный результат должен появиться в серийном производстве. Даже после испытания СБИС на FPGA в реальной аппаратуре обычно её необходимо заменять на функционально эквивалентную МБИС для серийного оборудования. Разработчика, который отказывается от известной, но более трудоёмкой технологии, подстерегает типичная ловушка: если нет компилятора “FPGA/БМК”, который позволит автоматически перенести результаты его труда на более приемлемую технологию, то его работа смысла не имеет — её придётся повторить практически в том же объёме.

    Статья перепечатана с незначительными сокращениями из журнала “Технология и конструирование в электронной аппаратуре” с любезного разрешения авторов и редакции.

Литература

  1. Гайдаманчук В.А. Электронные компоненты на основе AS1C СБИС для современной техники связи (зарубежный опыт) // Computer World. — Киев. — 1995. — № 24(47). — с. 14.
  2. Немудров В.Г., Малышев И.В. Проблемы разработки специализированных СБИС для перспективной аппаратуры связи // Труды MAC. — М. — 1997. — № 1. — С. 14–15.
  3. Коллегия Миноборонпрома: как завоевать российского потребителя // Информкурьерсвязь. — М. — 1997. — № 1. — С. 68–71.
  4. ACT™ Family FPGA Data Book / Actel Corporation. — Sunnyvale, California. — 1992.
  5. FPGA Data Book and Design Guide / Ibid. — 1993.
  6. XILIX. The Programmable Logic Data Book / San Jose, California. — 1996.
  7. Component Selector Guide / Altera Corporation. — San Jose, California. — 1995.
  8. Савчук Л.В., Синичук И.И. Разработка кодеков Витерби на МБИС: два подхода // Тр. междунар. конф. “Спутниковые системы связи и вещания: перспективы развития на Украине. UkrSatCom-93”. — Одесса. — 1993. — С. 5.8/1–5.8/3.
  9. Савчук А.В., Синичук И.И., Брауде-Золотарев Ю.М., Каблучкова А.А. Устройство вычисления метрик путей декодера Витерби. Пат. 2022473 РФ. Опубл. в Б. И. — 1994. — № 20.
  10. Гайдаманчук В.А., Савчук А.В., Щербатюк И.И. Комплект микросхем кодека Витерби для VSAT с ШПС // Тр. 3-й междунар. конф. “Спутниковые системы связи и вещания: УкрТелеСом-97”. — Одесса. — 1997. — С. 419–422.
  11. Гайдаманчук В.А., Савчук А.В., Брауде-Золотарев Ю.М., Каблучко- ва А.А. Особенности проектирования больших интегральных схем декодеров Витерби // Труды НИИ радио. — 1997. — С. 77–86.

Тел.: (044) 276 7302





Реклама на сайте
тел.: +7 (495) 514 4110. e-mail:admin@eust.ru
1998-2014 ООО Рынок микроэлектроники